Hier-RTLMP: A Hierarchical Automatic Macro Placer for Large-scale Complex IP Blocks

Andrew B. Kahng,Ravi Varadarajan,Zhiang Wang
2023-12-03
Abstract:In a typical RTL to GDSII flow, floorplanning or macro placement is a critical step in achieving decent quality of results (QoR). Moreover, in today's physical synthesis flows (e.g., Synopsys Fusion Compiler or Cadence Genus iSpatial), a floorplan .def with macro and IO pin placements is typically needed as an input to the front-end physical synthesis. Recently, with the increasing complexity of IP blocks, and in particular with auto-generated RTL for machine learning (ML) accelerators, the number of hard macros in a single RTL block can easily run into the several hundreds. This makes the task of generating an automatic floorplan (.def) with IO pin and macro placements for front-end physical synthesis even more critical and challenging. The so-called peripheral approach of forcing macros to the periphery of the layout is no longer viable when the ratio of the sum of the macro perimeters to the floorplan perimeter is large, since this increases the required stacking depth of macros. In this paper, we develop a novel multilevel physical planning approach that exploits the hierarchy and dataflow inherent in the design RTL, and describe its realization in a new hierarchical macro placer, Hier-RTLMP. Hier-RTLMP borrows from traditional approaches used in manual system-on-chip (SoC) floorplanning to create an automatic macro placement for use with large IP blocks containing very large numbers of hard macros. Empirical studies demonstrate substantial improvements over the previous RTL-MP macro placement approach, and promising post-route improvements relative to a leading commercial place-and-route tool.
Systems and Control
What problem does this paper attempt to address?
The problem that this paper attempts to solve is that in modern integrated circuit design, with the increase in the number and complexity of IP modules (such as macro - modules), traditional macro - module layout methods (especially peripheral layout methods) can no longer meet the requirements of high - quality results (QoR). Specifically: 1. **Increase in the number of macro - modules**: In modern designs, especially when automatically - generated RTL (Register Transfer Level) code is used for machine - learning accelerators, the number of macro - modules in a single RTL block may reach hundreds. This makes it more difficult to generate automated layout files (.def files). 2. **Limitations of the peripheral layout method**: The traditional method is to place macro - modules on the edge (periphery) of the layout. However, when the number of macro - modules is too large or the ratio of their total perimeter to the layout perimeter is large, this method will increase the stacking depth of macro - modules, thus affecting the routing resources and overall performance. 3. **Destruction of the data - flow topology**: The peripheral layout method will destroy the data - flow topology of the design, resulting in increased wire lengths and other performance problems. To solve these problems, this paper proposes a new multi - level physical planning method - Hier - RTLMP (Hierarchical Automatic Macro - module Layout Tool). Hier - RTLMP solves the above problems in the following ways: - **Utilizing the design hierarchy and data - flow**: Hier - RTLMP not only considers the legal placement of macro - modules and the optimization of wire length/routability, but also utilizes the design hierarchy and data - flow information to ensure that the placement of macro - modules meets the functional requirements of the design. - **Multi - level physical hierarchy**: Hier - RTLMP introduces a multi - level physical hierarchy, which can handle large - scale and complex IP blocks containing a large number of macro - modules and allows macro - modules to be placed in the core area of the layout, not just on the edge. - **Improved clustering and shape engines**: Hier - RTLMP has developed an automatic clustering engine and a shape engine, which can perform multi - level clustering according to the size and connection patterns of logical modules and determine the allowed shapes of each physical cluster. Through these improvements, Hier - RTLMP can achieve higher - quality macro - module layout in large - scale and complex designs and has better performance and efficiency compared to existing methods.